[an error occurred while processing this directive] [an error occurred while processing this directive]
Уточняю ...
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Обучающийся Верилогу 30 июля 2001 г. 10:07
В ответ на: Или я не понял вопрос, или...Но не вижу тут проблемы, однако(+) отправлено vitA 26 июля 2001 г. 13:10

А как теперь на out выдать другое значение?

Думаю будет понятнее, если рассмотреть такую задачу:

Имеется выходная тристабильная шина. На этой шине "сидят" два регистра на чтение. Выходы регистров имеют z-состояние. Если выбирается какой либо регистр, то на выход выдается его содержимое. Другой при этом естественно должен находится в высокоимпедансном состоянии. Если же никакой из регистров не выбирается, то оба они в z-состоянии и выходная шина не нагружена.

Как описать данную задачку на Verilog?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru