[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: OrCAD
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено dsmv 27 июля 2001 г. 18:42
В ответ на: To M NEMO: Поделитесь, пожалуйста, впечатлениями о моделировании работы ПЛИС в OrCAD, если Вы это практикуете. отправлено Victor® 26 июля 2001 г. 12:54

Работает.
Достоинства:
Простой, красивый редактор + симулятор.

Недостатки:
1. При внесении изменений в файлы проекта перекомпилируется
весь проект. Это долго если подключены модели ПЛИС после разводки
в MAXPLUS.
2. Не поддерживается sdf.
3. Все предупреждения выдаются на экран, а если их много ?
4. Есть отличия от стандарта VHDL - были проблемы с переходом на
ActiveHDL
5. Синтез не поддерживает ACEX.
6. Модель ПЛИС ACEX 1K с внутренним FIFO не работает, разваливается.
7. Не удобно переходить между несколькими проектами.

Вывод: Хороший САПР для начального освоения, но для работы желательно
что-либо более современное.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru