[an error occurred while processing this directive] [an error occurred while processing this directive]
Вроде так.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Oleg_Z 23 июля 2001 г. 12:45
В ответ на: А как Verilog сделать асинхронный сброс счетчика? отправлено Обучающийся Верилогу :)) 23 июля 2001 г. 12:19

always @(posedge clk or posedge reset)
if (reset)
count = 0;
else
count = count + 1;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru