[an error occurred while processing this directive]
Интересно, а синтезатор сам не переделает счетчик из (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено -=Sergei=- 29 марта 2004 г. 11:41
В ответ на: Ответ: (+) отправлено SM 27 марта 2004 г. 14:27

cnt <= cnt + '1';

в счетчик с ускоренным переносом, если ему в опциях поставить что надо на скорость давить?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru