[an error occurred while processing this directive]
Есть на Verilog. Verilog в VHDL перевести не проблема.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Victor® 23 марта 2004 г. 10:49
В ответ на: Ответ: Проект, вообще-то делается на 2-х типах ПЛИС отправлено KHA 23 марта 2004 г. 10:36


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru