[an error occurred while processing this directive]
Synplify Pro + WebPack 5.2 такое понимает для Coolrunner II (в отчете говорится об использовании DualEdge)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Victor® 15 марта 2004 г. 10:39
В ответ на: Каким синтезёром пользовались? На что похожа получаемая схема? отправлено zlyh 14 марта 2004 г. 16:10



DUAL




library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;

entity act_counter is
port
(
clk, reset : in std_logic;
count : out std_logic_vector (7 downto 0)
);
end act_counter;

architecture behave of act_counter is
signal count_i : std_logic_vector (7 downto 0);
begin
process (clk, reset)
begin
if (reset = '0') then
count_i <= (others => '0');
elsif (clk'event) then
count_i <= count_i + '1';
end if;

end process;
count <= count_i;
end behave;





Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru