[an error occurred while processing this directive]
есть некий код на VHDL для генерации сигнала на основе имеющихся 2х других, A-HDL симулирует нормально, а вот после синтеза в Leonardo, MAXplus не хочет нормально симулировать.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Bug 09 марта 2004 г. 11:55

задача в следующем: есть 2 опорные частоты 2МГц и 8KHz. в период когда CLK8KHz='1' надо на выходе получить '1' в течении 8 тактов частоты 2MHz. я делаю так:

process(CLK2,CLK_8)
variable cnt: integer range 0 to 10;
begin

if(CLK_8='0') then cnt:=0; FSR<='0';
elsif(CLK_8='1') then

if( rising_edge(CLK2) ) then cnt:=cnt+1;
end if;

if(cnt < 9) then FSR<='1';
else FSR<='0';
end if;

if(cnt=10) then cnt:=9;
end if;

end if;

end process;

в чём может быть проблема ???

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru