[an error occurred while processing this directive]
Sorry. Уже засыпал, когда задавал вопрос.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено kas 26 февраля 2004 г. 09:48
В ответ на: как избавиться от warning'ов при создании регистров-защелок (latch) в Xilinx ISE отправлено kas 25 февраля 2004 г. 06:41

Имеется ISE 5.2

пишу таку конструкцию

process(clk)
if clk = '1' then
a <= b;
end if;

Этим кодом я хочу получить триггер с потенциальным управлением.
При синтезе в логе появляются следующие строки
WARNING:Xst:737 - Found 1-bit latch for signal .
В то же время при синтезе тристабильных буферов, триггеров с управлением по фронтам, логики таких предупреждений нет.

Так вот как сказать программе синтеза что именно такой тригер я хочу получить, и что бы она меня не предупреждала об этом?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru