[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено alex_fpga 20 февраля 2004 г. 11:42
В ответ на: Ответ: (+) отправлено Fnutik 20 февраля 2004 г. 10:35

Спасибо за отклики. Я тут подумал, посмотрел, покрутил и вот такой код у меня комп съел
----------------------------------------------------------------------
process (write_clock, CLK_S)
begin
if(write_clock'EVENT AND write_clock ='1')then
if(CLK_S'EVENT AND CLK_S='1')then
if(read_addr<=XBUS(2 downto 0))then
wr_ctrl <= '1';
elsif(write_clock'EVENT AND write_clock ='1')then
if(CLK_S'EVENT AND CLK_S='1')then
if(xd_l<=XBUS(7 downto 0))then
wr_ctrl <= '0';
end if;end if;end if;end if;end if;
end process;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru