[an error occurred while processing this directive]
Еще ... Надо use UNISIM.VComponents.all; +правильно описать в проекте коргеновский модуль
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено UR 17 февраля 2004 г. 14:21
В ответ на: да ща добавил и в свой исходник (core gen'овский) и в XilinxCoreLib'овские исходники - результат тот же ... отправлено vladx 17 февраля 2004 г. 13:51


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru