[an error occurred while processing this directive]
Пробуем... (+)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Mit 11 февраля 2004 г. 19:59
В ответ на: Попробуй так (+) отправлено DmitriP 11 февраля 2004 г. 18:26

> process (clk)
> begin
> if (clk'event and clk = '1') then
> -- условие срабатывания
> count1 <= count2 + 1;
> end if;
> end process;
> process (clk)
> begin
> if (clk'event and clk = '0') then
> -- условие срабатывания
> count2 <= count1 + 1;
> --
> end if;
> end process;
По каждому положительному фронту будет обновление count1 нечетными числами.
По каждому отрицательному фронту будет обновление count2 четными числами.
В итоге, на выходе будет либо нечетный счетчик по положительному фронту,
либо четный счетчик по отрицательному.
:)

С уважением,
Митя

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru