[an error occurred while processing this directive]
Дискуссия :) (+)
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Mit 11 февраля 2004 г. 16:25
В ответ на: Ответ (+) отправлено andrew_b 11 февраля 2004 г. 15:56

>>Теоретически да, а что считается _изменением_ сигнала?
>>Переход от одного стабильного состояния к другому?
> Любое изменение. Что вы подразумеваете под стабильным состоянием?
> Std_logic имеет 9 значений. Изменение любого значения на любое ---
> это изменение сигнала.
Мне интересно, что в результате получается в ПЛИС. Эксперимент я описал ниже. Мои теоретические измышления были, что указав в списке чувствительности процесса один тактовый сигнал, я получу запуск процесса по каждому фронту тактового сигнала, но это оказалось не так...
Мне интересно, во 1, что я не так понял со списком чувствительности, и во 2, что реально произошло...

> if (rising_edge(clk)) then
> ...
> elsif (falling_edge(clk)) then
> ...
> end if;
Подобная конструкция мне пришла следующей после wait until r_e or f_e... Однако Quartus взвыл, что ему это не по силам. Как оказалось, все что связано с фронтами, должно стоять в последнем elsif'e и только там...
Я выкрутился, как писал в исходном сообщении, объединяя отдельно выделенные фронты CLK... Получил нечто такое:
Исходное CLK: ______/``````\______/``````\______

Результат: _____/\_____/\_____/\_____/\_____
Пики порядка 6ns на каждый фронт.
Просто ИМХО - извращение это :) Но что делать...

С уваженитем,
Митя

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru