[an error occurred while processing this directive]
Ответ: За что Вы так Max+Plus
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено ...... 14 января 2004 г. 14:49
В ответ на: Знатокам Altera MAX+PLUS отправлено matel 12 января 2004 г. 16:05

Max+Plus II не только это: по большому счету это самодостаточная среда которая: Компилирует, синтезирует, размещает в кристалле и делает временную симуляцию (после синтеза+размещения) и программирует. Позволяет вводить проект в виде схемы, AHDL, VHDL и Verilog (и их смесь). Конечно VHDL и Verilog поддерживает только ограниченное (синтезируемое) подмножество, но его можно расширить если дополнительно установить Altera Advanced Synthesis.
Моделирование на VHDL и Verilog не поддерживает, но это заменяется временной симуляцией - по большому счету только ей и можно верить!!. Короче достаточно (в одном флаконе) для работы с Altera. А связываться с ModelSim - это только время терять - интересно конечно, но мало продуктивно (личное мнение).
Должен оговориться, что для ряда Altera FPGA может потребоваться еще и Quartus II - все вышесказанное относится и к нему. А вообще вопросы такого типа (как выше) повторяются с завидным постоянством - такое ощущение, что многие читать не умеют или не хотят совсем. Писать TestBench VHDL для Max+Plus - это .... нет слов! Детский сад какой-то!

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru