[an error occurred while processing this directive]
Спасибо. Я так и сделал. Единственная тонкость - std_logic_vector сперва необходимо привести либо к SIGNED, либо UNSIGNED.
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено cms 24 декабря 2003 г. 15:40
В ответ на: Конечно, да! :-) (+) отправлено andrew_b 24 декабря 2003 г. 09:23


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru