[an error occurred while processing this directive]
Ответ: Встречал не раз эту ссылку, да не коннектится туда никак
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено gosh 27 ноября 2003 г. 16:05
В ответ на: Дык тут было... отправлено Незнаев 27 ноября 2003 г. 13:47


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru