[an error occurred while processing this directive]
сам пытался найти когда то метод поудобнее компонентов - не нашел.. вы,пожалуйста, если найдете киньте ссылочку
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено lutik 27 ноября 2003 г. 15:28
В ответ на: не-е-еа ... компоненты я и сам знаю, а именно сделать так, чтобы файл как бы имел I/O порты, которые можно заводить на входы/выходы объектов, я видел народ так делает, только не помню как, очень удобно получается ... отправлено vladx 27 ноября 2003 г. 15:01


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru