[an error occurred while processing this directive] [an error occurred while processing this directive]
Synplicity и MAX II PLUS
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Petr 16 мая 2001 г. 16:37

Возник вопрос.
Работаю с Synplicity.
Проект состоит из 3-х модулей.
Декодер и сопряжение с шиной написаны на VHDL.
В качестве FIFO хочу использовать LPM функцию ALTERA.
Как правильно указать в VHDL - файле верхнего уровня , ссылку на
Альтеровский компонент ?
Затем импортирую EDF в МАХ .

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru