[an error occurred while processing this directive]
Константа проходит и с библиотекой std_logic_unsigned и с numeric_std. В строке case у меня тоже не было ошибок. Ошибка возникает в строке when константа => ... И только в том случае если константу задаю с помощью функции conv_integer (to_integer). Если константа задается явно (:=1023), то никаких ошибок нет и вот это как раз непонятно.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено urri 14 ноября 2003 г. 16:03
В ответ на: Если константа уже проходит, то отправлено Valeri 14 ноября 2003 г. 15:56


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru