[an error occurred while processing this directive]
Попробуйте один и тот же проект сделать под разными синтезаторами... Мне тут пришлось утаптывать в XS2S200. Кроме XST никто не справился, причем заработало сходу. И до этого все время сидел на Synplify 7.31
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Пятничный хрен 14 ноября 2003 г. 12:32
В ответ на: не верю. Там только логический синтез как можно получить приличный результат? отправлено yes 10 ноября 2003 г. 14:30


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru