[an error occurred while processing this directive]
Ответ: (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено vitus_strom 11 ноября 2003 г. 17:23
В ответ на: а есть ли в VHDL механизм доступа к slice в массиве, ну или как это делают отправлено yes 11 ноября 2003 г. 12:53

type triangl_array is array (integer range <>) of std_logic_vector(8 downto 0);
signal tmp : triangl_array(1 to 12);
.
.
.
tmp(2)(7 downto 0) <= tmp(3)(6 downto 0)+a2;
--tmp(1,8 downto 0)=tmp(2,7 downto 0)+a1; -этот вариант похоже
--синтаксически не правильный, хотя я не пользовал

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru