[an error occurred while processing this directive]
Ну, функцию можно и упростить :-) (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 11 ноября 2003 г. 15:58
В ответ на: чего-то у меня не пошло так (слева от равно тоже должна быть slice), я обманул с помощью функции (вроде бы synplify синтезировала то что надо) отправлено yes 11 ноября 2003 г. 15:16

Если взять частный случай:
1. Нужно взять только length младших разрядов arrval
2. not arrval'ascending
3. arrval'right = 0

то можно так (раскрашивать не буду :-))

function get_slice(arrval : std_logic_vector; constant length : integer) return std_logic_vector is
alias r : std_logic_vector(length-1 downto 0) is arrval (length-1 downto 0);
begin -- slice
return r;
end get_slice;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru