[an error occurred while processing this directive]
На мой взгляд лучший Synplify от Synplicity, Precision и Leonardo от Mentor работают хуже или я не умею их настроить, ну а уж пользоваться Алтеровскими или Xilinx-кими точно можно только от безъисходности
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено leoyv 06 ноября 2003 г. 22:55
В ответ на: Ответ:Тогда, чем всётаки плох Xilinx Синтезатор и чьи синтезаторы предпочтительней использовать отправлено A 06 ноября 2003 г. 09:59


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru