[an error occurred while processing this directive]
А если так?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 01 октября 2003 г. 11:55
В ответ на: как склеить Synplify и ISE (в плане констрейнов) отправлено yes 01 октября 2003 г. 11:36

NET "ad(*)" FAST;
NET "data(*)" FAST;

У меня так работает.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru