[an error occurred while processing this directive]
Ответ: Ответ: в верилоге точно так, как тебе хотелось...
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено druzhin 30 сентября 2003 г. 15:25
В ответ на: возращаясь к красоте VHDL описаний - как описывают интерфейсный регистр состоящий из битовых полей отправлено yes 29 сентября 2003 г. 14:28


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru