[an error occurred while processing this directive]
Круто.Буду на работе,попробую.Спасибки за ответ.А то я думал,что один так уродуюсь.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Mad Makc 24 сентября 2003 г. 20:48
В ответ на: Как всегда все это просто (+) отправлено -=Sergei=- 24 сентября 2003 г. 19:38


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru