[an error occurred while processing this directive]
я больше не ковырял, но по Modelsim-овскому брекпоинту останавливался
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено yes 16 сентября 2003 г. 11:47
В ответ на: а выполняется ли цикл? отправлено zlyh 15 сентября 2003 г. 19:07

а про "Ни разу..." как Вы тестбенчи пишите?
я привык к верилоговскому initial - как его на VHDL по другому сделать (process .... wait; end)?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru