[an error occurred while processing this directive]
Ответ: На счет BRAM, я не знал
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 15 сентября 2003 г. 18:57
В ответ на: нет - это стандартное описание двухпортовой памяти и оно синтезируется в BRAM (XST, Synplify) отправлено yes 15 сентября 2003 г. 18:42

Пару лет назад этого не было. Надо попробывать.
А простую логику (арифметику) синтезаторы распознают достаточно хорошо, тут без проблем.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru