[an error occurred while processing this directive]
да. так проще. а с процессами почему так?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено yes 15 сентября 2003 г. 16:39
В ответ на: Ответ: signal RAM : ram_type := (others => x"0"); отправлено V61 15 сентября 2003 г. 16:30

я читал, что infered BRAM проинициализировать тулзы не позволяют
ну мне и не надо (вернее там и так 0 будет)



Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru