[an error occurred while processing this directive]
Сколько шуму из-за ничего, раздули в "научную статью", каждый инженер умеет делать это. Разделить тактовые импульсы на произвольное число тактов можно в общем виде обычным конечным автоматом. Типовые очевидные варианты -- это счетчик по модулю с Т-триггером на выходе переноса (только перенос не на счетный вход, а на T-вход!), а для небольших значений делителя -- можно обычный сдвиговый регистр. Как описываются эти элементы на VHDL, есть в документации к САПР (например к maxplus)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено А.И. 11 сентября 2003 г. 10:55
В ответ на: Забирайте, кому интересно. VHDL code implements 50%-duty-cycle divider отправлено Victor® 10 сентября 2003 г. 17:48


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru