[an error occurred while processing this directive]
делаю так ->
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Bug 09 сентября 2003 г. 12:01
В ответ на: Если скважность не критична, то простым счетчиком. отправлено andrew_b 09 сентября 2003 г. 08:26

делаю так ->
process(X)
variable CONT:INTEGER range 0 to 3 := 0;
variable a: std_logic := '0';
begin
if X'event then
if CONT = 3 then CONT:=0; a:=not a;
else CONT:=CONT+1;
end if;
end if;
Y <= a;
end process;

при симуляции в Active-HDL всё нормально, после синтеза в Leonardo, и последующей симуляции в MAX plus фигня какая-то, на выходе 'X'-undefined.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru