[an error occurred while processing this directive]
делитель частоты VHDL
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Bug 08 сентября 2003 г. 18:29

подскажите как лучше написать делитель чатсоты, на входе есть исходный CLK. Делить его надобно на число N. Есть ли уже что-либо готовое, чтоб взглянуть ? или по какому методу оптимальнее будет сделать.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru