[an error occurred while processing this directive]
Ещё вариант
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 27 августа 2003 г. 11:49
В ответ на: Опять 25, где то в синтаксисе баг, посмотрите плс что её надо. отправлено MemoryTest 25 августа 2003 г. 17:43

attribute xc_loc: string;
alias sa_in_0 : std_logic is sa_in(0);
attribute xc_loc of sa_in_0: signal is "P39";

но предыдущему я доверяю больше

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru