[an error occurred while processing this directive]
Подключение библиотеки в Leonardo
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено gramalexus 21 августа 2003 г. 13:33

Подскажите, плз, как в Leonardo подключить свою библиотеку( например мою библиотеку преобразования типов данных с именем lib1). Не могу никак сообразить. В Synopsys FPGA Express все прозрачно, а здесь ....

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru