[an error occurred while processing this directive]
Ответ: (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SM 25 марта 2003 г. 00:14
В ответ на: Как в MAX2PLUS организовать двунаправленную шину с более чем одним внутреним регистром на чтение? отправлено albor 24 марта 2003 г. 17:27

D[7..0]:BIDIR;

....

VARIABLE
D_IN[7..0]:NODE;
D_ENA:NODE;
....

BEGIN

DEFAULTS
D_ENA=GND;
.....
END DEFAULTS;

FOR I IN 0 TO 7 GENERATE
D[I]=TRI(D_IN[I],D_ENA);
END GENERATE;

CASE ADR[] IS
WHEN 0=>
D_IN[]=DATA_SRC_A[];
D_ENA=VCC;
WHEN 1=>
D_IN[]=DATA_SRC_B[];
D_ENA=VCC;
WHEN 2=>
D_IN[]=DATA_SRC_C[];
D_ENA=VCC;
END CASE;

END;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru