[an error occurred while processing this directive]
Как в MAX2PLUS организовать двунаправленную шину с более чем одним внутреним регистром на чтение?
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено albor 24 марта 2003 г. 17:27

Сейчас у меня стоит связка:
D[7..0] : BIDIR;
DOUT[7..0] :DFF;
DOUT_[7..0] :TRI;


DOUT_[] = DOUT[];
D[7..0] = DOUT_[7..0];
DOUT_[].OE = !RD & En_чего-то там ;

Но при попытке подрубить еще один TRI к D[] ругается нехорошими словами :-( Как это грамотно делается?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru