[an error occurred while processing this directive]
MUX...
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено leoyv 14 марта 2003 г. 13:06
В ответ на: А как динамически подключать блок (VHDL) к устройству? отправлено Igorek 12 марта 2003 г. 23:55

Судя по всему нужны MUX(s), к ним, естественно, нужна логика управления, регистры. Крайне не советую использовать логику с 3 состояниями - это бесконечные проблемы... Начиная с того, что не все устройства вообще разрешают внутренние трехстабильные шины (например Actel), но даже если и разрешают, то все равно все плохо - времянка валится и "быстрые" проекты не работают.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru