[an error occurred while processing this directive]
Ответ: счетчик на 1.5
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено iosifk 29 ноября 2002 г. 09:36
В ответ на: Как на VHDL сделать срабатывание счетчика по двум фронтам. отправлено Igor2 28 ноября 2002 г. 12:48

У ксайлинкса в журнале LCell примерно год - два назад была статья о нестандартных счетчиках: счетчик на 1.5, 3.5 и т.д. В Альтере счетчик на 1.5 работает.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru