[an error occurred while processing this directive]
Ответ: то, ли лыжи не едут - то, ли ....
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Maksim 16 октября 2002 г. 00:16
В ответ на: Foundation 3.1i + Счётчик отправлено Maksim 14 октября 2002 г. 01:16

Оставил только один счётчик... и всё равно така картина симмулятор в режиме Timing затыкается.
Чего я собственно делаю:
1. Создаю макроэлемент.
2. Открываю его HDL Editor'ом вписываю туда код.
3. Компилирую, обновляю макроэлемент.
4. Запускаю Симмулятор - (Functional) - проверяю.
5. Переключаю симмулятор Timing - и Combinatorial feedback.... и т.д.

Где грабельки???

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;

entity Two_count is
port (
clk: in STD_LOGIC;
-- rnd_en: in STD_LOGIC;
-- clr_bit: in STD_LOGIC;
ct_en: in STD_LOGIC;
-- eq3: out STD_LOGIC;
out_sigma: out STD_LOGIC_VECTOR (7 downto 0);
clr: in STD_LOGIC;
rd: in STD_LOGIC
);
end Two_count;

architecture Two_count_arch of Two_count is
--- signal b: STD_LOGIC_VECTOR (1 downto 0);--integer range 0 to 3;
signal c: STD_LOGIC_VECTOR (7 downto 0);--integer range 0 to 255;
--signal ct_en: STD_LOGIC;
begin

--CT_BIT:process (clk,clr,clr_bit)
-- begin
-- if (clr='1' or clr_bit='1') then b<="00";
-- elsif (clk'event and clk='1') then
-- if (rnd_en='1') then b<=b+"01";
-- end if;
-- end if;
--end process CT_BIT;

--COMP_BIT:process(b)
-- begin
--if(b="11") then eq3<='1';
-- else eq3<='0';
-- end if;
--end process COMP_BIT;
--eq3 <= '1' when b= 3 else '0';


CT_ALL:process (clk,clr,ct_en)
begin
if (clr='1') then c<="00000000";
elsif (clk'event and clk='1') then
if (ct_en='1') then c<=c+"00000001";
end if;
end if;
end process CT_ALL;

out_sigma<=c when (rd='1') else "ZZZZZZZZ";

end Two_count_arch;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru