[an error occurred while processing this directive]
мы пользуемя ?: и все работает
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.)»)
[an error occurred while processing this directive]

Отправлено yes 11 апреля 2001 г. 11:43
В ответ на: Ответ: ООО .. я этого и ждал отправлено ИгаР 10 апреля 2001 г. 13:13

симулятор от Cadence

синтез от нее же и Synopsisa

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru