Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Микроконтроллеры и их применение»

нубский вопрос по квартус2 и альтере

Отправлено ty (209.8.41.191,209.8.233.192) 08 июля 2010, г. 16:59


сильно не бейте )
ситуация такая
есть девайс с плис семейства мах7000
на него есть прошивка с исходниками - нарисовано (как в sch) в квартусе
хозяина девайса нету. я в етой штуке не понимаю ничего, но задача вроде простая

ВОПРОС: как ничего не ломая, простейшим способом сделать задержку реакции по одному из входов ПЛИС. (Порядка 500мс бывают провалы от датчиков водяных, это нормально - но девайс отрабатывает ситуацию как аварийную)
То есть нарисовано УГО входа, ему определен ПИН. Дальше это идет на вход логических триггеров каких то и так далее.
полазил по Assignment Editor - там всякие Tsu Th Tco - но там квартус нигде не дает ставить значения большие чем мксек

поможите люди добрыя )


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
вычтите из двух единицу:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru