Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Микроконтроллеры и их применение»

Написать на верилоге и создать компонент :) а вообще есть LPM_COUNTER (+)

Отправлено omen 18 января 2008 г. 13:47
В ответ на: Ищу в библиотеке Квартус2 многоразрядный счетчик (выходов эдак на 18), из в...{+}(+) отправлено <font color=gray>ПЛИС</font> 18 января 2008 г. 13:34

reg [17:0] cnt;
always @(posedge clk)
if (reset)
cnt <= 0;
else
cnt <= cnt+1;


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 85:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru