Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Микроконтроллеры и их применение»

Поможите по IAR [+]

Отправлено megajohn 07 декабря 2006 г. 14:41


в одном проекте есть файл eeprom.hex, содержимое которого
"

org 0x8000

DB 0 ; year
DB 0 ; month
DB 0 ; date
DB 0 ; day
DB 0 ; hour
DB 0 ; minute
DB 0 ; second
...
DW 550 ; speed
DW 430 ; pull time
DW 0 ; t_vertgrips_start
DW 150 ; vertgrips_dur
DW 0 ; t_vertpuls_start


"
то есть для меги32 начиная с адреса 0x8000 идёт EEPROM, а так как прошивается с помощью PonyProg то получается что диапазон 0x0000...0x7FFF зашивается во флеш, а диапазон 0x8000...0x83FF зашивается в еепром.

Есть желание поменять PonyProg на Kanda AVR ISP
для этого требуется разделить общий файл на два отдельных
делаю это так
"


project->options->linker
1) поставить галочку на debug information for C-SPY
2) Если на вкладке Extra Output стоит галочка generate extra output - ее убрать.
2) на вкладке Extra Options поставить галочку use command line
options, в окно вписать:
-Ointel-extended,(CODE)=.hex
-Ointel-extended,(XDATA)=.ee.hex

После линковки в директории $PROJ_DIR$\Debug\EXE получаем файлы
$PROJ_NAME$.d90 - файл для симулятора C-SPY
$PROJ_NAME$.hex - прошивка флеш
$PROJ_NAME$.ee.hex - прошивка eeprom


"

но как сформировать код на С, что бы файл был для EEPROM ?
пишу так
"


struct eeprom_variables
{
__no_init __eeprom unsigned char eeprom_time_year 0;
__no_init __eeprom unsigned char eeprom_time_month 0;
...
__no_init __eeprom unsigned char eeprom_speed 145;
};

"

ругается


Error[Pa048]: object attribute not allowed
Error[Pa004]: illegal declaration
Error[Pe065]: expected a ";"

Как правлиьно мне обьявить ?

Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа

Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 
если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
увеличьте 2 вдвое:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru