[an error occurred while processing this directive]
Спасибо, пока не надо. Железо уже сделано и документировано (+)
(«Телесистемы»: Конференция «Микроконтроллеры и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено arreg 11 октября 2006 г. 14:03
В ответ на: PS (+) отправлено <font color=gray>Roman M.</font> 11 октября 2006 г. 13:43

Более того, я пока не вижу необходимости и в будущем в подобных по сложности задачах пользоваться дополнительными концами. Как-то нарушается универсальность: например, захочу я поставить 485й интерфейс? А вот вопрос: я же могу разрешить использование этих сигналов готовности и осциллографом в них потыкаться на стороне компьютера? И если там будет возникать сигнал, это значит, что комп не успевает прочитать буфер? Так?
И ещё вопрос: настройки FIFO, о которых Вы писали, можно делать прямо из программы? (Это необязательно, но было бы прикольно)

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание