[an error occurred while processing this directive]
Это для треда внутри процесса
(«Телесистемы»: Конференция «Микроконтроллеры и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено _IgorM_ 11 ноября 2005 г. 17:56
В ответ на: По идее вот так отправлено fl3 11 ноября 2005 г. 16:39

а для процесса надо юзать SetPriorityClass функцию
The SetPriorityClass function sets the priority class for the specified process. This value together with the priority value of each thread of the process determines each thread's base priority level.

BOOL SetPriorityClass(
HANDLE hProcess, // handle to the process
DWORD dwPriorityClass // priority class value
);

приоритеты процессов
IDLE_PRIORITY_CLASS
NORMAL_PRIORITY_CLASS (этот по дефолту для процессов)
HIGH_PRIORITY_CLASS
REALTIME_PRIORITY_CLASS

а для тредов внутри процесса (если надо) пользовать SetThreadPriority
THREAD_PRIORITY_IDLE
THREAD_PRIORITY_LOWEST
THREAD_PRIORITY_BELOW_NORMAL
THREAD_PRIORITY_NORMAL (этот по дефолту для тредов)
THREAD_PRIORITY_ABOVE_NORMAL
THREAD_PRIORITY_HIGHEST
THREAD_PRIORITY_TIME_CRITICAL


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru