[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Vallav 13 июня 2001 г. 19:44
В ответ на: Ответ: Возможно все отправлено Valeri 13 июня 2001 г. 17:13

Если n - разрядный счетчик на CPLD реализован в n+k ячейках, то он
работает в два раза медленнее, чем реализованный в n ячейках.
Так-что вопрос остался - можно ли на VHDL создавать быстрые схемы,
не медленнее, чем на AHDL?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru