[an error occurred while processing this directive]
Вопрос по VHDL под MaxPlusII (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено _aquarius_ 18 августа 2003 г. 11:14

Знатоки VHDL подскажите решение. Надо осуществить генерацию блока кода по значению бита Generic параметра ( тип integer ). MaxPlusII не хочет понимать такую строку на VHDL:
q1: if (BAR0_PARAM mod 2) = 1 generate
...
end generate q1;

Порбовал преобразовывать параметр в константу

constant BAR_TYPE = conv_std_logic_vector(BAR_PARAM,4);
q1: if BAR_TYPE(0) = '1' generate
...
end generate q1;

MaxPlus не понимает и такой вариант, хотя Astive HDL переваривает оба.
Как правильно написать такой фрагмент?

Спасибо за ответы.


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru