[an error occurred while processing this directive]
всё к этому и идёт.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено KA 25 июня 2003 г. 13:14
В ответ на: Ответ: eще раз ссылаюсь на вышеупомянутую книгу. просмотрите -будет интерсно: книга современная (не привязвна к рассыпухе), есть коды vhdl. отправлено jm 25 июня 2003 г. 12:52


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru