[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено SAZH 18 июня 2003 г. 13:52
В ответ на: ничего не понял поясните если возможно отправлено net 18 июня 2003 г. 13:26

Был у меня когда-то проект. Принимал два потока информации на два FIFO. Сливал в один поток. Формировал сигналы чтения на FIFO плисиной семейства 10K ( в ней нет подтягивающих резисторов в течении конфигурации). Первое включение. Еще ничего не загружено.Значит контакты ввода вывода в третьем состоянии. Тыкаюсь осциллографом на вход RD ( а там лог.0 (на обоих FIFO,а их выходы обьединены)). Может FIFO и не интерпритирует это как лог.0, но от греха подальше предпочитаю нормальную логическую 1 в течении конфигурации.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru