[an error occurred while processing this directive]
Элементарно.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено SM 11 июня 2003 г. 22:54
В ответ на: как будет на AHDL? (сорри, за оффтоп) отправлено Jolik 11 июня 2003 г. 16:01


CONSTANT WIDTH = 8;

CONSTANT NUM_SEL_INPUTS = CEIL(LOG2(WIDTH));

subdesign tst2 (
in[WIDTH-1..0]:input;
sel[NUM_SEL_INPUTS-1..0]:input;
out:output;
)
begin
defaults
out = VCC;
end defaults;

for i in 0 to WIDTH-1 generate
if (sel[]==i) then
out = in[i];
end if;
end generate;

end;


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru