[an error occurred while processing this directive]
Ответ: Так можно, но
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 31 мая 2003 г. 12:22
В ответ на: а как инициализировать в VHDL?, чтобы понимали Modelsim и Synplify? отправлено yes 30 мая 2003 г. 14:34

не все синтезаторы понимают
generic map (INIT_00 => "значение";...
лучше
generic map (INIT_00 => const0;...

а если прошивка одна и таже можно более наглядно
component RAMB4_S8_S8 is
--pragma translate_off
generic (
INIT_00: bit_vector := X"0C...0";
:
INIT_0F: bit_vector := X"00...C" );
--pragma translate_on

attribute INIT_00: string;
:
attribute INIT_0F: string;
attribute INIT_00 of U_RAM_1: label is "0C..0";
:
attribute INIT_0F of U_RAM_1: label is "0C..0";


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru